鼎博|(鼎博手机版彩票)|鼎博app

鼎博10年专注于立式加工中心解决方案
中国加工中心行业十佳诚信企业、质量、服务

全国咨询热线13920844149

钻攻中心

集成逻辑分析仪(ILA)的使用方法

集成逻辑分析仪(ILA)的使用方法

    时间: 2024-03-31 09:04:07 |   作者: 鼎博

产品详细

  开发过程中,逻辑代码设计完成后,为了验证代码逻辑的正确性,优先使用逻辑(modesim)做验证。仿真验证通过后进行板级验证时,使用逻辑分析仪做多元化的分析和验证逻辑是不是正确。FPGA两大主流

  本篇总结和分享在Xilinx编译工具Vivado环境下,使用内嵌的逻辑分析仪(ILA)的4种方法:

  本文以LED每0.5s间隔亮灭工程为例,观测 led[0]信号以及led_cnt[24:0]时钟计数信号 ,演示ILA使用的4种方法和相关的注意事项。

  本示例中led[0]、led_cnt[24:0]信号位宽分别为1、25bit,具体配置如下所示;配置完成后,点击“OK”;在弹出的界面点击“Generate”完成IP核的配置和生成。

  在Verilog代码中对刚生成的ILA核进行实例化,在具体代码添加例化代码,如下所示:

  综合、实现、生成bit后写到FPGA芯片便可观测到信号(此处不做演示)。

  在Vivado中,除了使用HDL语言去描述设计,还能够最终靠Block Design图形化连接的方式来进行设计。7系列的软核MicroBlaze、带硬核的SOC芯片(ZYNQ、MPSOC等)的设计都是通过Block和HDL相结合来描述设计。本文仍以纯FPGA方式来说明在Block Design中使用ILA核(纯FPGA开发不建议)。ILA使用操作如下:

  创建/打开Block Design,在Diagram界面,点击“+”按钮,搜索ILA,然后双击该IP核进行配置。

  参数配置的方式与上一小节一致,这里不作赘述。不同之处是在Block Design中使用ILA核,ILA核的实例化HDL代码会自动生成到Block Design文件中。

  综合、实现、生成bit后写到FPGA芯片便可观测到信号(此处不做演示)。

  带MicroBlaze软核/ARM硬核的FPGA的复杂设计中,模块接口的信号观测ILA使用该方法;模块内部信号的观测ILA使用上一小节的方式;两者可结合使用。

  对工程执行综合,综合完成后,找到要观测的信号的Nets,右键选择“Mark Debug”;

  要观测信号的Nets选择并设置完成后,点击“Set Up Debug”;按照下面步骤配置Debug ILA参数;

  综合、实现、生成bit后写到FPGA芯片便可观测到信号(此处不做演示)。

  特别说明:mark_debug仅可以对Nets类型做相关操作,不能对Cells操作;

  vivado设计IDE提供了一些属性供开发者使用,本篇推文主要是描述MARK_DEBUG属性约束的使用和需要注意的几点。详细可参考UG912文档的内容。使用MARK_DEBUG的条件如下:

  vivado提供了包括Verilog、VHDL、XDC三种语法。三种语法如下所示:

  在led[0]、led_cnt[24:0]信号的顶端声明处进行约束,省略代码如下:

  执行综合操作,综合完成后,打开综合设计,然后点击“Set Up Debug”;

  * Debug ILA配置完成后,保存SYNTHESIZED DESIGN后会在约束文件(.xdc)中生成ILA的配置约束,如下图所示:

  综合、实现、生成bit后写到FPGA芯片便可观测到信号(此处不做演示)。

  MARK_DEBUG约束标记会阻止Vivado对该信号以及下级信号的优化。因此,在逻辑验证完成后,要删除Mark_DEBUG约束标记或者将其失能。

  上面总结和分享了4种Vivado下使用ILA的方法。杰克更推荐使用前面两种实例化的方法去使用ILA IP核。对于 纯FPGA HDL开发 ,配置生成ILA核并在HDL代码中实例化使用便可;对于带软核/硬核的FPGA开发 ,在Block模块外部接口信号通过添加ILA核,Block模块内部信号则在HDL代码中实例化ILA核,两者结合使用。

  在电子测试领域,示波器大多数都用在信号波形的采集和再现,大多数都用在对模拟信号和模拟电路的测试。随着数字技术发展,对数字信号测试逐渐重要,最早的数字信号测试,往往借助于示波器,后来出现了

  是利用时钟从测试设备上采集和显示数字信号的仪器,最最大的作用在于时序判定。由于

  一般拥有32~136个通道,甚至最高能到几千个通道,每通道可输入一个数字信号。

  电平(高或低),并加以存储,用图形的方式直观地表达出来,便于用户检测和

  电平(高或低),并加以存储,用图形的方式直观地表达出来,便于用户检测和

  一、简介电子科技类产品开发过程中我们最常用的是示波器,但随着微处理器的出现,电子工程师们越来越发现传统的双通道或四通道示波器不能够满足微处理器电路在设计开发工程中的需要。于是具有多通道输入的

  是常用的电子仪器之一,主要使用在于做数字电路测试,FPGA调试,CPU/DSP调试,数字IQ/IF

  (Gowin AnalyzerOscilloscope,以下简称 GAO),介绍 GAO 的配置文件及 GAO 的配置窗口使用,旨在帮助用户快速熟悉 GAO

  有两种:1、IP核2、mark debug标记信号接下来我们先说一下第一种

  华为2023年财报出炉:净利润大增144%至870亿,终端业务营收增长17.3%

  AMD Versal AI Edge自适应计算加速平台之LVDS液晶屏显示实验(5)

  I.MX6ULL-飞凌 ElfBoard ELF1板卡- 应用层更改引脚复用的方法

  3D打印遥控气垫船、微型步行机器人、变压器式实验室电源|DF创客周刊(第77期)

集成逻辑分析仪(ILA)的使用方法

拍下二维码,信息随身看

试试用手机扫一扫,
在你手机上继续观看此页面。